Bit-serial architecture

From Infogalactic: the planetary knowledge core
Jump to: navigation, search

In digital logic applications, bit-serial architectures send data one bit at a time, along a single wire, in contrast to bit-parallel word architectures, in which data values are sent all bits or a word at once along a group of wires.

All computers before 1951, and most of the early massive parallel processing machines used a bit-serial architecture—they were serial computers.

Bit-serial architectures were developed for digital signal processing in the 1960s through 1980s, including efficient structures for bit-serial multiplication and accumulation.[1]

Often N serial processors will take less FPGA area and have a higher total performance than a single N-bit parallel processor.

References

  1. Lua error in package.lua at line 80: module 'strict' not found.

External links